Theoretical and Natural Science

- The Open Access Proceedings Series for Conferences


Theoretical and Natural Science

Vol. 28, 26 December 2023


Open Access | Article

Machine learning in physical design

Junrui Yu * 1 , Yanru Li 2 , Xinyi Liu 3 , Zhide Yang 4
1 Henan University
2 Guangxi University
3 Xidian University
4 Guangdong Country Garden School

* Author to whom correspondence should be addressed.

Theoretical and Natural Science, Vol. 28, 144-150
Published 26 December 2023. © 2023 The Author(s). Published by EWA Publishing
This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.
Citation Junrui Yu, Yanru Li, Xinyi Liu, Zhide Yang. Machine learning in physical design. TNS (2023) Vol. 28: 144-150. DOI: 10.54254/2753-8818/28/20230384.

Abstract

Machine learning is a highly effective instrument in constructing models that can expeditiously produce accurate prognostications. As the complexity of integrated circuit design continues to increase and process nodes continue to evolve, and physical design faces more challenges from modeling and optimization. To address these challenges, machine learning has been introduced into physical design. Thus, in this paper, we discuss the application of machine learning in physical design, covering topics such as Clock Tree Synthesis (CTS), Placement and Routing, IR-Drop and Static Timing Analysis (STA). The essay explores how machine learning can be used to overcome challenges in these areas, such as reducing peak current and clock skew in CTS, optimizing placement parameters and decision-making, predicting routability and reducing IR-drop effects. This paper also discusses various machine learning techniques (ML), such as reinforcement learning, convolutional neural networks and transfer learning. To conclude, we provide insights into how machine learning can be applied to improve various aspects of physical design.

References

1. A. WIlliams. 2019. LARGEST CHIP EVER HOLDS 1.2 TRILLION TRANSISTORS. Retrieved April 5, 2022

2. L. Wang and M. Luo, "Machine Learning Applications and Opportunities in IC Design Flow," 2019 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, 2019, pp. 1-3, doi: 10.1109/VLSI-DAT.2019.8742073.

3. S. A. Beheshti-Shirazi, A. Vakil, S. Manoj, I. Savidis, H. Homayoun, and A. Sasan, “A Reinforced Learning Solution for Clock Skew Engineering to Reduce Peak Current and IR Drop,” in Proceedings of the 2021 on Great Lakes Symposium on VLSI, in GLSVLSI ’21. New York, NY, USA: Association for Computing Machinery, 2021, pp. 181–187. doi: 10.1145/3453688.3461754.

4. R. Samanta, J. Hu and P. Li, "Discrete Buffer and Wire Sizing for Link-Based Non-Tree Clock Networks," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 18, no. 7, pp. 1025-1035, July 2010, doi: 10.1109/TVLSI.2009.2019088.

5. Y. Kwon, J. Jung, I. Han and Y. Shin, "Transient Clock Power Estimation of Pre-CTS Netlist," 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Florence, Italy, 2018, pp. 1-4, doi: 10.1109/ISCAS.2018.8351430.

6. S. Nagaria and S. Deb, "Designing of an Optimization Technique for the Prediction of CTS Outcomes using Neural Network," 2020 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS), Chennai, India, 2020, pp. 312-315, doi: 10.1109/iSES50453.2020.00075.

7. Y.-C. Lu, J. Lee, A. Agnesina, K. Samadi, and S. Lim, "GAN-CTS: A Generative Adversarial Framework for Clock Tree Prediction and Optimization," Nov. 2019, pp. 1–8. doi: 10.1109/ICCAD45719.2019.8942063.

8. Y. Lin et al., "DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 4, pp. 748-761, April 2021, doi: 10.1109/TCAD.2020.3003843.

9. A. Agnesina, K. Chang and S. K. Lim, "VLSI Placement Parameter Optimization using Deep Reinforcement Learning," 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), San Diego, CA, USA, 2020, pp. 1-9.

10. R. Cheng and J. Yan, "On Joint Learning for Solving Placement and Routing in Chip Design," in Advances in Neural Information Processing Systems, M. Ranzato, A. Beygelzimer, Y. Dauphin, P. S. Liang, and J. W. Vaughan, Eds., Curran Associates, Inc., 2021, pp. 16508–16519.

11. W. -K. Cheng, Y. -Y. Guo and C. -S. Wu, "Evaluation of routability-driven macro placement with machine-learning technique," 2018 7th International Symposium on Next Generation Electronics (ISNE), Taipei, Taiwan, 2018, pp. 1-3, doi: 10.1109/ISNE.2018.8394712.

12. Y. Lin, T. Qu, Z. Lu, Y. Su and Y. Wei, "Asynchronous Reinforcement Learning Framework and Knowledge Transfer for Net-Order Exploration in Detailed Routing," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 9, pp. 3132-3142, Sept. 2022, doi: 10.1109/TCAD.2021.3117505.

13. (IR)IR Drop Analysis in Physical Design | IR Analysis in VLSI. (2020, May 2). IR Drop Analysis in Physical Design | IR Analysis in VLSI. https://teamvlsi.com/2020/07/ir-analysis-in-asic-design-effects-and.html

14. T. -Y. Wu, S. Gharahi and J. A. Abraham, "An area efficient on-chip static IR drop detector/evaluator," 2009 IEEE International Symposium on Circuits and Systems, Taipei, Taiwan, 2009, pp. 2009-2012, doi: 10.1109/ISCAS.2009.5118186.

15. V. A. Chhabria, Y. Zhang, H. Ren, B. Keller, B. Khailany and S. S. Sapatnekar, "MAVIREC: ML-Aided Vectored IR-Drop Estimation and Classification," 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), Grenoble, France, 2021, pp. 1825-1828, doi: 10.23919/DATE51398.2021.9473914.

16. P. Huang, C. Ma, and Z. Wu, "Fast Dynamic IR-Drop Prediction Using Machine Learning in Bulk FinFET Technologies," Symmetry, vol. 13, no. 10, p. 1807, Sep. 2021, doi: 10.3390/sym13101807.

17. Z. Xie et al., "PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network," 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), Beijing, China, 2020, pp. 13-18, doi: 10.1109/ASP-DAC47756.2020.9045574.

18. S. Bian, M. Shintani, M. Hiromoto, and T. Sato, "LSTA: Learning-Based Static Timing Analysis for High-Dimensional Correlated On-Chip Variations," in Proceedings of the 54th Annual Design Automation Conference 2017, in DAC ’17. New York, NY, USA: Association for Computing Machinery, 2017. doi: 10.1145/3061639.3062280.

19. T. Sharma, S. Kolluru, and K. S. Stevens, "Learning Based Timing Closure on Relative Timed Design," in VLSI-SoC: Design Trends, A. Calimera, P.-E. Gaillardon, K. Korgaonkar, S. Kvatinsky, and R. Reis, Eds., Cham: Springer International Publishing, 2021, pp. 133–148..

20. T. Yang, G. He and P. Cao, "Pre-Routing Path Delay Estimation Based on Transformer and Residual Framework," 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC), Taipei, Taiwan, 2022, pp. 184-189, doi: 10.1109/ASP-DAC52403.2022.9712484.

Data Availability

The datasets used and/or analyzed during the current study will be available from the authors upon reasonable request.

This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License. Authors who publish this series agree to the following terms:

1. Authors retain copyright and grant the series right of first publication with the work simultaneously licensed under a Creative Commons Attribution License that allows others to share the work with an acknowledgment of the work's authorship and initial publication in this series.

2. Authors are able to enter into separate, additional contractual arrangements for the non-exclusive distribution of the series's published version of the work (e.g., post it to an institutional repository or publish it in a book), with an acknowledgment of its initial publication in this series.

3. Authors are permitted and encouraged to post their work online (e.g., in institutional repositories or on their website) prior to and during the submission process, as it can lead to productive exchanges, as well as earlier and greater citation of published work (See Open Access Instruction).

Volume Title
Proceedings of the 2023 International Conference on Mathematical Physics and Computational Simulation
ISBN (Print)
978-1-83558-261-9
ISBN (Online)
978-1-83558-262-6
Published Date
26 December 2023
Series
Theoretical and Natural Science
ISSN (Print)
2753-8818
ISSN (Online)
2753-8826
DOI
10.54254/2753-8818/28/20230384
Copyright
26 December 2023
Open Access
This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited

Copyright © 2023 EWA Publishing. Unless Otherwise Stated